funksjonell simulering ikke fungerer

A

arbalez

Guest
jeg har problem med funksjonell simulering i quartusII.det synes å være simulere men generert mye advarsel.de fleste av utgangssignaler ikke 'produsert ønsket kurveform (Jeg bruker input stimulans via kurveform,. vwi hvis jeg ikke tar feil).Hilsen VHDL kode som jeg har skrevet jobbe på de andre simulator, modelsim.og alt det tidspunkt kravet er oppfylt.

så trenger jeg å revidere koden?eller trenger å endre quartusII innstillinger eller annet?

takk på forhånd.Lagt etter 27 2 timer minutter:eek:gså er min rapportert simulering dekningen bare 6,00%.

 
Kontroller at du har unckecked "Enable SignalTap logikk analysator.

 
jay_ec_engg skrev:

hva er "Enable SignalTap logikk analysator"?
 

Welcome to EDABoard.com

Sponsor

Back
Top