Fine Timing programming

A

Andy Botterill

Guest
I am trying to find out what separation between two pulses causes a
circuit to fail. When I try to reduce the clock low time the time
defined seems only to change in integral units e.g. 100ns , 200ns etc. I
have adjusted the `timescale such that it should be able to be
programmed with a high resolution. What have I missed? TIA for your
help.

There is only one `timescale in the whole file.
`timescale 10ps / 10ps

The period is equivalent to 100ns.
`define PERIOD 10000.0

This variable defines part of the time the clock is low.
real hightime;

initial
begin

hightime = 3.5;

#`PERIOD I_IN_CLOCK = 1'b1;
#`PERIOD I_IN_CLOCK = 1'b0;
#(hightime*`PERIOD) I_IN_CLOCK = 1'b0;
#`PERIOD I_IN_CLOCK = 1'b1;
#`PERIOD I_IN_CLOCK = 1'b0;
--
Andy Botterill
 
Andy Botterill <csm@plymouth2.demon.co.uk> wrote in message news:<H99oPIANCcC$EwWw@plymouth2.demon.co.uk>...
When I try to reduce the clock low time the time
defined seems only to change in integral units e.g. 100ns , 200ns etc. I
have adjusted the `timescale such that it should be able to be
programmed with a high resolution. What have I missed? TIA for your
help.
It should work. How are you observing what time the clock edges occur?
Perhaps you are using some mechanism like a waveform tool that has its
own separate precision and is not showing the actual simulated time to
full precision. What simulator are you using?
 
In article <3a8e124e.0307071406.7e3f1caa@posting.google.com>, Steven
Sharp <sharp@cadence.com> writes
Andy Botterill <csm@plymouth2.demon.co.uk> wrote in message news:<H99oPIANCcC$Ew
Ww@plymouth2.demon.co.uk>...
When I try to reduce the clock low time the time
defined seems only to change in integral units e.g. 100ns , 200ns etc. I
have adjusted the `timescale such that it should be able to be
programmed with a high resolution. What have I missed? TIA for your
help.

It should work. How are you observing what time the clock edges occur?
I've looked at the waveforms in more detail. I was looking at the wrong
level and was seeing the clock aligned signals not the testbenches
stimulus.

Apologies for the wild goose chase.

Perhaps you are using some mechanism like a waveform tool that has its
own separate precision and is not showing the actual simulated time to
full precision. What simulator are you using?
I don't think it was the waveform display software. Modelsim.
--
Andy Botterill
 

Welcome to EDABoard.com

Sponsor

Back
Top