Code error...

J

jalal makky

Guest
hello,

I am new to the usage of VHDL-ams.
I made a code and debug errors in it but for a reason it keeps telling me it\'s not compiling. I am using ansys twin builder to simulate my code.
**********************code*************
library IEEE;
use ieee.math_real.all;
use ieee.energy_systems.all;
use ieee.electrical_systems.all;

ENTITY rohmos IS
generic(
BETA: real:=0.2366;
VTH: real:=5.2;
LAMBDA: real:=0.03963);
port(
terminal D,G,S: electrical);
END ENTITY rohmos;

ARCHITECTURE arch_rohmos OF rohmos IS
quantity vds across D to S;
quantity vgs across G to S;
quantity ids through D to S;

begin

if vgs-VTH<0 and vgs-VTH<0 use
ids==0.0;

elsif vds<vgs-VTH use
ids== (BETA/2)*vds*(2*(vgs-VTH)-vds)*(1+LAMBDA*vds);
elsif vgs-VTH<vds use
ids==(BETA/2)*(vgs-VTH)*(vgs-VTH)*(1+LAMBDA*vds);
end use;

END ARCHITECTURE arch_rohmos;
*******************************************************************
I really appreciate your help to fix this issue,

thank u
 

Welcome to EDABoard.com

Sponsor

Back
Top